News

For $15B, Intel Will Facilitate Microsoft's AI Chip Ambitions

In a bid for AI hardware glory, Microsoft has signed an estimated $15 billion deal with chipmaker Intel to tap the latter's foundry services.

According to the two companies, Microsoft will rely on Intel to produce semiconductors using Intel's 18A (1.8nm-class) process technology, a new design aimed at creating smaller and more energy-efficient chips. The deal was announced Wednesday during the Intel Foundry event.

"We are offering a world-class foundry, delivered from a resilient, more sustainable and secure source of supply, and complemented by unparalleled systems of chips capabilities," said Stuart Pann, senior vice president of Intel Foundry. "Bringing these strengths together gives customers everything they need to engineer and deliver solutions for the most demanding applications."

While neither Microsoft nor Intel has explicitly said what these new chips will be used for, Bloomberg reports (paywalled) that it will be to help Microsoft produce in-house hardware, with Intel supplying Microsoft with the semiconductors it will need to expand its datacenters.

"We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry," said Microsoft CEO Satya Nadella. "To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That's why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process."

For Intel, this partnership and its Intel Foundry initiative are designed to drive increased manufacturing demand for semiconductors created in the United States. Intel also said that it has an internal goal of overtaking market leader and current Microsoft partner Taiwan Semiconductor Manufacturing Company (TSMC) in overall production output.

Intel CEO Pat Gelsinger emphasized the revolutionary potential of AI, stating, "AI is profoundly transforming the world and how we think about technology and the silicon that powers it. This creates an unprecedented opportunity for the world's most innovative chip designers and for Intel Foundry, the world's first systems foundry for the AI era."

Microsoft in November announced that TSMC would be behind the manufacturing of the Microsoft Azure Maia AI Accelerator and the Microsoft Azure Cobalt CPU -- both internally developed chips for Microsoft's cloud datacenters. It's unclear whether Intel's semiconductors will also contribute to these two chips.

Intel said that its 18A technology will be ready for production in the second half of 2024 and it's reasonable to assume that Microsoft's yet-unveiled hardware could arrive as early as 2025. Along with Microsoft, Intel has stated that it has three other unnamed large companies that have signed up for its 18A manufacturing technology.

About the Author

Chris Paoli (@ChrisPaoli5) is the associate editor for Converge360.

Featured